PDS_VERSION_ID = PDS3 RECORD_TYPE = STREAM LABEL_REVISION_NOTE = " 20150408 RChen/EN work around catalog ingest. Delete this line next time." OBJECT = VOLUME VOLUME_SERIES_NAME = "MESSENGER" VOLUME_SET_NAME = "MESSENGER EPPS CALIBRATED DATA" VOLUME_SET_ID = "USA_NASA_PDS_MESSEPPS_2001" VOLUMES = 1 VOLUME_NAME = "MESSENGER EPPS CALIBRATED DATA ARCHIVE" /* This is the DATA volume */ VOLUME_ID = MESSEPPS_CDR VOLUME_VERSION_ID = "VERSION 1" VOLUME_FORMAT = "NONE" MEDIUM_TYPE = "ELECTRONIC" PUBLICATION_DATE = 2015-03-06 DESCRIPTION = " CERTIFIED MESSENGER EPPS calibrated data. This volume contains EPPS data at Earth, Venus and Mercury over the interval 2004-229 (16 Aug) to 2014-260 (17 Sept). Most documentation for this volume is contained in a separate PDS volume, MESSEPPSDOC_1001." MISSION_NAME = "MESSENGER" SPACECRAFT_NAME = "MESSENGER" /* The following data sets are located on the DATA volume. */ DATA_SET_ID = {"MESS-E/V/H/SW-EPPS-3-EPS-CDR-V1.0", "MESS-E/V/H/SW-EPPS-3-FIPS-CDR-V1.0"} OBJECT = DATA_PRODUCER INSTITUTION_NAME = "JOHNS HOPKINS UNIVERSITY APPLIED PHYSICS LABORATORY" FACILITY_NAME = "N/A" FULL_NAME = "DR. GEORGE HO" ADDRESS_TEXT = "11100 Johns Hopkins Road Laurel, MD 20723" END_OBJECT = DATA_PRODUCER OBJECT = DATA_PRODUCER INSTITUTION_NAME = "UNIVERSITY OF MICHIGAN" FACILITY_NAME = "N/A" FULL_NAME = "DR. JIM RAINES" ADDRESS_TEXT = "Space Research Building 1541A SRB 2455 Hayward St. Ann Arbor, MI 48109-2143" END_OBJECT = DATA_PRODUCER OBJECT = DATA_SUPPLIER INSTITUTION_NAME = "JOHNS HOPKINS UNIVERSITY APPLIED PHYSICS LABORATORY" FACILITY_NAME = "N/A" FULL_NAME = "MICHAEL REID" ADDRESS_TEXT = "Applied Physics Laboratory Space Department 11100 Johns Hopkins Road Laurel, Maryland 20723 USA" TELEPHONE_NUMBER = "240-228-5000" ELECTRONIC_MAIL_ID = "Mike.Reid@jhuapl.edu" ELECTRONIC_MAIL_TYPE = INTERNET END_OBJECT = DATA_SUPPLIER OBJECT = CATALOG ^MISSION_CATALOG = "../zzold/missionMess.cat" ^INSTRUMENT_HOST_CATALOG = "../zzold/hostMess.cat" ^TARGET_CATALOG = {"../zzold/targMercury.cat", "../zzold/targEARTH.cat", "../zzold/targVENUS.cat", "../zzold/targCalibration.cat"} ^RELEASE_CATALOG = {"releaseEPPSEPS3.cat", "releaseEPPSFIPS3.cat"} ^HOUSEKEEPING_CATALOG = {"zzold/hsk1.cat", "zzold/hsk2.cat"} /* The following catalog files are located on the DOCUMENT volume. */ ^INSTRUMENT_CATALOG = "zzold/INSTRUMENT.CAT" /* MESS-E/V/H/SW-EPPS-3-EPS-CDR-V1.0 */ /* MESS-E/V/H/SW-EPPS-3-FIPS-CDR-V1.0 */ ^DATA_SET_CATALOG = {"zzold/EPPSEPSDATASET_CDR.CAT", "zzold/EPPSFIPSDATASET_CDR.CAT"} ^REFERENCE_CATALOG = "../zzold/refMess.cat" ^PERSONNEL_CATALOG = "zzold/PERSON.CAT" END_OBJECT = CATALOG END_OBJECT = VOLUME END